From aaeaa599a14642e916bbd8a32a208ee96a26eaac Mon Sep 17 00:00:00 2001 From: Mike Buland Date: Thu, 2 Apr 2009 15:00:14 +0000 Subject: Array iterators' validity testing was actually reversed. That was a serious problem. Also, arrays now have a formatter. --- src/unit/array.unit | 2 ++ 1 file changed, 2 insertions(+) (limited to 'src/unit') diff --git a/src/unit/array.unit b/src/unit/array.unit index d5fc573..3a777d3 100644 --- a/src/unit/array.unit +++ b/src/unit/array.unit @@ -32,11 +32,13 @@ int j = 0; for( Bu::Array::iterator i = ai.begin(); i != ai.end(); i++ ) unitTest( (*i) == j++ ); + unitTest( j == 10 ); const Bu::Array &ci = ai; j = 0; for( Bu::Array::const_iterator i = ci.begin(); i != ci.end(); i++ ) unitTest( (*i) == j++ ); + unitTest( j == 10 ); } {%iterate2} -- cgit v1.2.3